

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、<p><b> 摘要</b></p><p> 本設計是基于EDA技術的交通燈控制器的設計。該設計通過用數(shù)字信號自動控制十字路口交通燈狀態(tài)轉換的方法,指揮各種車輛和行人安全通行,實現(xiàn)十字路口交通管理的自動化。在本次課程設計里,用VHDL語言為基礎來實現(xiàn)設計交通控制信號燈,在Quartus II軟件完成其仿真,實現(xiàn)各個路口上紅綠燈轉換。</p><p>
2、 關鍵詞:交通信號燈, VHDL, 仿真</p><p><b> Abstract</b></p><p> This design is based on EDA technology, traffic light controller. The design with digital signal control intersections by traf
3、fic light state transition method, the command of various vehicles and pedestrians safe passage, a crossroads of traffic management to achieve automation. In this curriculum design, the use of VHDL language-based approac
4、h to design traffic control signal, the Quartus II software to complete the simulation, realization of the trunk and branches Road to the traffic lights c</p><p> Keywords:Street-traffic control lights, VHD
5、L , Simulation</p><p><b> 目錄</b></p><p><b> 摘要I</b></p><p> AbstractII</p><p><b> 目錄III</b></p><p><b> 第1
6、章 緒論1</b></p><p> 1.1課程設計背景1</p><p> 1.2設計任務與要求1</p><p><b> 1.3方案對比2</b></p><p> 1.4 進度安排2</p><p> 第二章 設計總體框圖3</p><
7、;p> 第三章 功能模塊4</p><p><b> 3.1控制模塊4</b></p><p><b> 3.2輸出模塊6</b></p><p><b> 3.3分頻模塊9</b></p><p> 3.4綠燈閃爍控制模塊10</p>
8、<p> 3.5掃描模塊11</p><p> 3.6譯碼模塊13</p><p> 3.7deled模塊14</p><p> 附錄 總體設計電路圖17</p><p><b> 心得體會18</b></p><p><b> 參考文獻19</b
9、></p><p><b> 第1章 緒論 </b></p><p><b> 1.1課程設計背景</b></p><p> 目前,全國大中城市普遍存在著道路擁擠、車輛堵塞、交通秩序混亂的現(xiàn)象,交通事故頻發(fā),這給人民的生命財產(chǎn)安全帶來了極大的損失。如何解決城市交通問題已成為全社會關注的焦點和大眾的迫切呼聲。
10、探究城市交通發(fā)展中存在問題的原因,無論是從宏觀上還是從微觀上分析,其根本原因在于城市交通系統(tǒng)的管理機制不適應。城市交通控制系統(tǒng)(UTC ,Urban Traffic Control System)是現(xiàn)代城市智能交通系統(tǒng)(IDJ ,Intelligent transport system)的組成之一,主要用于城市道路交通的控制與管理。城市平交路口實現(xiàn)交通信號控制是城市交通管理現(xiàn)代化的基本標志之一,是提高交通管理效能的重要技術手段。路口信號
11、控制器是控制交叉路口交通信號的設備,它是交通信號控制的重要組成部分。各種交通控制方案,最終都要由路口信號控制器來實現(xiàn)。為了確保十字路口的行人和車輛順利、暢通地通過,往往采用電子控制的交通信號來進行指揮。伴隨著社會的發(fā)展以及人類生活水平的提高,汽車的數(shù)量在不斷增加,EDA技術的發(fā)展和應用領域也在不斷的擴大與深入,機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學、軍事等各個領域</p><p> 1.2設計任務與
12、要求</p><p> 能顯示十字路口東西、南北兩個方向的紅、黃、綠燈的指示狀態(tài)。用LED燈表示當前交通狀態(tài)。</p><p> 能實現(xiàn)正常的倒計時功能。用兩組七段數(shù)碼管倒計時顯示當前交通狀態(tài)剩余秒數(shù)。</p><p> 該交通燈的亮滅順序如下:</p><p> 1.2.1能實現(xiàn)特殊狀態(tài)的功能。例如消防車、救護車或其他需要優(yōu)先放行的
13、車輛通過時實現(xiàn)下列功能:</p><p> ①按下特殊狀態(tài)鍵后,能實現(xiàn)特殊狀態(tài)功能;</p><p> ?、陲@示倒計時的兩組數(shù)碼管閃爍;</p><p> ?、塾嫈?shù)器停止計數(shù)并保持在原來的狀態(tài);</p><p> ?、軚|西、南北路口均顯示紅燈狀態(tài);</p><p> ?、萏厥鉅顟B(tài)解除后能繼續(xù)計數(shù);</p>
14、<p> 1.2.2能實現(xiàn)總體清零功能。按下清零鍵后,系統(tǒng)實現(xiàn)總體清零,計數(shù)器由初始狀態(tài)計數(shù),對應狀態(tài)的指示燈亮。</p><p> 用VHDL語言設計符合上述要求的交通燈控制器,并用層次化設計方法設計該電路。</p><p><b> 1.3方案對比</b></p><p> 實現(xiàn)路口交通燈系統(tǒng)的控制方法很多,可以用標準
15、邏輯器件、可編程序控制器等方案來實現(xiàn)。</p><p><b> 方案一</b></p><p> 采用VHDL語言直接編寫,實現(xiàn)交通燈指揮功能。但此方案編寫復雜且困難,開發(fā)時間較長。編譯后,不便讀懂,找出錯誤很困難。</p><p><b> 方案二</b></p><p> 采用模塊層次
16、化設計,將此設計分為四個模塊:計時模塊,狀態(tài)控制模塊,信號燈顯示模塊,數(shù)碼掃描顯示模塊。將四個模塊再分別用VHDL語言編寫成,做成原理圖模塊,用原理圖輸入法做整個設計的頂層文件。此方案設計方便、簡單,方法易懂、易操作,也易于尋找程序中的錯誤,故我們采用此方案。</p><p><b> 1.4 進度安排</b></p><p> 1.星期一:下達設計任務書,介紹課
17、題內容與要求;</p><p> 分配任務:嚴文憑,上網(wǎng)搜集資料,審核,打印;</p><p> 李海龍,對資料進行初步整理,以備選用;</p><p> 胡二強,確定設計方案,并做系統(tǒng)分析,研究。</p><p> 2.查找資料,確定總體設計方案和單元電路設計;</p><p> 3.星期三~第二周星期一:
18、單元電路設計與仿真,硬件下載;</p><p> 4.第二周星期二、三:硬件下載;</p><p> 5.第二星期四:書寫設計報告;</p><p> 6.第二星期五:打印相關圖紙,答辯。</p><p> 第二章 設計總體框圖</p><p><b> 各模塊功能如下:</b><
19、/p><p> 從電路框圖可以看到由減計數(shù)器、控制器組成了最基本的電路,其中計數(shù)器經(jīng)過掃描電路以BCD碼輸出的形式通過譯碼器與外部數(shù)碼管相連;控制器控制LED燈的狀態(tài)以及計數(shù)器的計數(shù)、暫停計數(shù)。</p><p> 分頻器可以分出標準的1Hz頻率信號以及掃描所需要頻率信號。</p><p> 總體框圖中,reset為復位(清零)信號,urgen為特殊狀態(tài)信號。<
20、;/p><p><b> 第三章 功能模塊</b></p><p> 交通燈控制器的信號說明:</p><p> reset:系統(tǒng)復位;</p><p> clk:計時和閃爍頻率</p><p> clk1:掃描頻率;</p><p> urgen:緊急情況既特殊狀
21、態(tài)信號,高電平代表緊急情況出現(xiàn);</p><p> state:狀態(tài)變化信號,00代表東西綠燈,南北紅燈;01代表東西黃燈,南北紅燈;10代表東西紅燈,南北綠燈;11代表東西紅燈,南北黃燈;</p><p> sub1,sub2:東西和南北方向的計數(shù)器減1信號;</p><p> set1,set2:東西和南北方向的計數(shù)器置數(shù)信號;</p>&l
22、t;p> setg1,setg2: 東西和南北方向的綠燈閃爍信號;</p><p> r1,g1,y1:代表東西方向的紅燈、綠燈和黃燈;</p><p> led1:代表東西方向的倒計時顯示;</p><p> r2,g2,y2:代表南北方向的紅燈、綠燈和黃燈;</p><p> led2: 代表南北方向的倒計時顯示;<
23、/p><p><b> 模塊說明:</b></p><p><b> 3.1控制模塊</b></p><p> 通過對時鐘的計數(shù)控制運行狀態(tài)的改變,輸出相應的狀態(tài)變化信息、遞減信號和置數(shù)信號給輸出模塊進行顯示同時輸出綠燈閃爍控制信號給綠燈閃爍控制模塊;出現(xiàn)緊急情況時停止計數(shù)和狀態(tài)的變化,解除緊急狀態(tài)后繼續(xù)原來的運行狀態(tài)。
24、</p><p><b> VHDL源程序為:</b></p><p> LIBRARY ieee;</p><p> USE ieee.std_logic_1164.all;</p><p> USE ieee.std_logic_unsigned.all;</p><p> Enti
25、ty ledcontrol IS</p><p><b> PORT(</b></p><p> reset,clk,urgen :IN std_logic;</p><p> state :OUT std_logic_vector(1 downto 0);</p><p> sub,se
26、t1,set2,setg1,setg2 :OUT std_logic);</p><p> end ledcontrol;</p><p> architecture a of ledcontrol is</p><p> signal count : std_logic_vector(6 downto 0);</p><p>
27、signal subtemp:std_logic;</p><p><b> begin</b></p><p> sub<=subtemp and (not clk);</p><p> statelabel:</p><p> process(reset,clk)</p><p>
28、;<b> begin</b></p><p> if reset='0' then</p><p> count<="0000000";</p><p> state<="00";</p><p> elsif clk'event a
29、nd clk='1' then</p><p> if urgen='0' then count<=count+1;</p><p> subtemp<='1';</p><p> elsif urgen='1' then subtemp<='0';</p
30、><p><b> end if;</b></p><p> if count=0 then state<="00";set1<='1';set2<='1';</p><p> elsif count=28 then state<="01";set
31、1<='1';</p><p> elsif count=33 then state<="10";set1<='1';set2<='1';</p><p> elsif count=61 then state<="11";set2<='1';<
32、;/p><p> elsif count=66 then count<="0000000";else set1<='0';set2<='0';</p><p><b> end if;</b></p><p> if count>24 and count<27
33、</p><p> then setg1<='1';setg2<='0';</p><p> elsif count>32 and count<60</p><p> then setg2<='1';setg1<='0';</p><p>
34、; else setg1<='0';setg2<='0';</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process statelabel;</p><p><
35、;b> end a;</b></p><p><b> 生成模塊為:</b></p><p><b> 仿真波形為:</b></p><p><b> 3.2輸出模塊</b></p><p> 在正常狀態(tài)下通過控制模塊輸出的state狀態(tài)信號, su
36、b1,sub2 減信號和set1,set2 置數(shù)信號控制東西和南北方向的指示燈和計數(shù)顯示;緊急狀態(tài)下通過urgen緊急信號, clk時鐘信號處理緊急情況,東西和南北方向均輸出紅燈,計數(shù)輸出值停止倒計時并不斷閃爍。</p><p><b> VHDL源程序為:</b></p><p> LIBRARY ieee;</p><p> USE
37、ieee.std_logic_1164.all;</p><p> USE ieee.std_logic_unsigned.all;</p><p> ENTITY ledshow IS</p><p><b> PORT(</b></p><p> clk,urgen : IN std_logi
38、c;</p><p> state : IN std_logic_vector(1 downto 0);</p><p> sub,set1,set2 :IN std_logic;</p><p> r1,g1,y1,r2,g2,y2 :OUT std_logic;</p><p> led1,l
39、ed2 :OUT std_logic_vector(7 downto 0));</p><p> END ledshow;</p><p> ARCHITECTURE a OF ledshow IS</p><p> Signal count1,count2 : std_logic_vector(7 downto 0);</p&
40、gt;<p> Signal setstate1,setstate2 : std_logic_vector(7 downto 0);</p><p> Signal tg1,tg2,tr1,tr2,ty1,ty2:std_logic;</p><p><b> BEGIN</b></p><p> led1<=&
41、quot;00000000" when urgen='1' and clk='0' else count1;</p><p> led2<="00000000" when urgen='1' and clk='0' else count2; </p><p> tg1<='
42、;0' when state="00" and urgen='0' else '0';</p><p> ty1<='0' when state="01" and urgen='0' else '0';</p><p> tr1<='0
43、39; when state(1)='1' or urgen='1' else '0';</p><p> tg2<='0' when state="10" and urgen='0' else '0';</p><p> ty2<='0' w
44、hen state="11" and urgen='0' else '0';</p><p> tr2<='0' when state(1)='0' or urgen='1' else '0';</p><p> setstate1<="000101
45、01"when state="00" else</p><p> "00000011"when state="01" else</p><p> "00011000";</p><p> Setstate2<="00010101"when sta
46、te="10" else</p><p> "00000011"when state="11" else</p><p> "00011000";</p><p><b> Label2:</b></p><p> PROCESS(s
47、ub)</p><p><b> BEGIN</b></p><p> IF sub'event and sub='1' then </p><p> IF set2='1' or count2=1 then</p><p> count2<=setstate2;&
48、lt;/p><p> elsif count2(3 downto 0)="0000" then count2<=count2-7;</p><p> else count2<=count2-1;</p><p><b> end if;</b></p><p><b> g2
49、<=tg2;</b></p><p><b> r2<=tr2;</b></p><p><b> y2<=ty2;</b></p><p><b> end if;</b></p><p> end process label2;<
50、/p><p><b> label1:</b></p><p> PROCESS(sub)</p><p><b> BEGIN</b></p><p> IF sub'event and sub='1' then </p><p> IF se
51、t1='1' or count1=1 then</p><p> count1<=setstate1;</p><p> elsif count1(3 downto 0)="0000" then count1<=count1-7;</p><p> else count1<=count1-1;</p&
52、gt;<p><b> end if;</b></p><p><b> g1<=tg1;</b></p><p><b> r1<=tr1;</b></p><p><b> y1<=ty1;</b></p><p&g
53、t;<b> end if;</b></p><p> end process label1;</p><p><b> end a;</b></p><p><b> 生成模塊為:</b></p><p><b> 仿真波形為:</b><
54、/p><p><b> 3.3分頻模塊</b></p><p> 將時鐘信號經(jīng)過分頻得到所需要的信號。系統(tǒng)的動態(tài)掃描需要1HZ的脈沖,而系統(tǒng)時鐘計時模塊需要1HZ的脈沖。分頻模塊主要為系統(tǒng)提供所需的時鐘計時脈沖。該模塊將1kHZ的脈沖信號進行分頻,產(chǎn)生方波,作為系統(tǒng)時鐘計時信號。</p><p><b> VHDL源程序為:<
55、/b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity div is</p><p> port(clk_in:I
56、N std_logic;</p><p> div_outb,div_outc:OUT std_logic);</p><p><b> end div;</b></p><p> ARCHITECTURE a OF div IS</p><p> signal fre_nb:integer range 0 to
57、 50000000;</p><p> signal fre_nc:integer range 0 to 50000;</p><p> signal clk_tmpb:std_logic;</p><p> signal clk_tmpc:std_logic;</p><p><b> begin</b><
58、;/p><p> div_outb<=clk_tmpb;</p><p> div_outc<=clk_tmpc;</p><p> process(clk_in)</p><p><b> begin</b></p><p> if falling_edge(clk_in)th
59、en</p><p> if fre_nb>49999999 then</p><p> fre_nb<=0;</p><p> clk_tmpb<=not clk_tmpb;</p><p><b> else</b></p><p> fre_nb<=fre_
60、nb+1;</p><p><b> end if;</b></p><p> if fre_nc>49999 then</p><p> fre_nc<=0;</p><p> clk_tmpc<=not clk_tmpc;</p><p><b> els
61、e</b></p><p> fre_nc<=fre_nc+1;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end process;</p><p><b> E
62、ND a;</b></p><p><b> 生成模塊為:</b></p><p> 3.4綠燈閃爍控制模塊</p><p> 當滿足綠燈閃爍條件時,綠燈閃爍。</p><p><b> VHDL源程序為:</b></p><p> LIBRARY ie
63、ee;</p><p> USE ieee.std_logic_1164.all;</p><p> USE ieee.std_logic_unsigned.all;</p><p> Entity ctrg is</p><p> port(clk,setg1,setg2 ,urgen: in std_logic;</p>
64、;<p> ig1,ig2 : in std_logic;</p><p> g1,g2 :out std_logic );</p><p><b> end ctrg;</b></p><p> architecture a of ctrg is</p><p><
65、;b> begin</b></p><p> process(clk,setg1,setg2)</p><p> variable tg1: std_logic:=ig1;</p><p> variable tg2: std_logic:=ig2;</p><p><b> begin</b>
66、;</p><p> g1<=tg1 and ( not urgen);g2<=tg2 and(not urgen);</p><p> if clk'event and clk='1' then</p><p> if setg1='1' then tg1:=not tg1;</p><
67、p> elsif setg2='1' then tg2:=not tg2;</p><p> else tg1:=ig1;tg2:=ig2;</p><p><b> end if;</b></p><p><b> end if;</b></p><p> end
68、process;</p><p><b> end a;</b></p><p><b> 生成模塊為:</b></p><p><b> 仿真波形為:</b></p><p><b> 3.5掃描模塊</b></p><p&g
69、t; 該模塊用于產(chǎn)生對數(shù)碼管的片選信號,可以根據(jù)控制信號,驅動交通信號燈以及倒計時數(shù)碼管的顯示。</p><p><b> VHDL源程序為:</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.s
70、td_logic_unsigned.all;</p><p> use ieee.std_logic_arith.all;</p><p> entity seltime2 is</p><p><b> port(</b></p><p> clk1,reset:in std_logic;</p>
71、<p> d1,d2:in std_logic_vector(7 downto 0);</p><p> daout:out std_logic_vector(3 downto 0);</p><p> sel:out std_logic_vector(2 downto 0));</p><p> end seltime2;</p>
72、<p> architecture fun of seltime2 is</p><p> signal count:std_logic_vector(2 downto 0);</p><p><b> begin</b></p><p> sel<=count;</p><p> proc
73、ess(clk1,reset)</p><p><b> begin</b></p><p> if(reset='0')then</p><p> count<="000";</p><p> elsif(clk1'event and clk1='1
74、39;)then</p><p> if(count>"100")then</p><p> count<="000";</p><p><b> else</b></p><p> count<=count+1;</p><p>
75、<b> end if;</b></p><p><b> end if;</b></p><p> case count is</p><p> when"000"=>daout<=d1(3 downto 0);</p><p> when"00
76、1"=>daout<=d1(7 downto 4);</p><p> when"010"=>daout<=d2(3 downto 0);</p><p> when"011"=>daout<=d2(7 downto 4);</p><p> when others =>
77、; null;</p><p><b> end case;</b></p><p> end process;</p><p><b> end fun;</b></p><p><b> 生成模塊為:</b></p><p><b>
78、; 仿真波形為:</b></p><p><b> 3.6譯碼模塊</b></p><p><b> VHDL源程序為:</b></p><p> LIBRARY ieee;</p><p> use ieee.std_logic_1164.all;</p>&l
79、t;p> use ieee.std_logic_unsigned.all;</p><p> ENTITY decode3_8 IS</p><p> PORT(SEL:IN std_logic_vector(2 downto 0);</p><p> Q:OUT std_logic_vector(7 downto 0)</p><
80、p><b> );</b></p><p> END decode3_8;</p><p> ARCHITECTURE a OF decode3_8 IS</p><p><b> BEGIN</b></p><p> Q<="11111110"when s
81、el=0 else</p><p> "11111101"when sel=1 else</p><p> "11111011"when sel=2 else</p><p> "11110111"when sel=3 else</p><p> "11111111
82、";</p><p><b> END a;</b></p><p><b> 生成模塊為:</b></p><p><b> 仿真波形為</b></p><p> 3.7deled模塊</p><p> 該模塊將輸入數(shù)據(jù)轉換為七段數(shù)
83、碼管需要的數(shù)據(jù)。</p><p><b> VHDL源程序為</b></p><p> LIBRARY ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p>
84、; ENTITY deled IS</p><p> PORT(num:IN std_logic_vector(3 downto 0);</p><p> led:OUT std_logic_vector(6 downto 0));</p><p> END deled;</p><p> ARCHITECTURE fun OF d
85、eled IS</p><p><b> BEGIN</b></p><p> led<="1111110"when num="0000"else</p><p> "0110000"when num="0001"else</p><
86、p> "1101101"when num="0010"else</p><p> "1111001"when num="0011"else</p><p> "0110011"when num="0100"else</p><p>
87、"1011011"when num="0101"else</p><p> "1011111"when num="0110"else</p><p> "1110000"when num="0111"else</p><p> "1
88、111111"when num="1000"else</p><p> "1111011"when num="1001"else</p><p> "1110111"when num="1010"else</p><p> "0011111&
89、quot;when num="1011"else</p><p> "1001110"when num="1100"else</p><p> "0111101"when num="1101"else</p><p> "1001111"wh
90、en num="1110"else</p><p> "1000111"when num="1111";</p><p><b> END fun;</b></p><p><b> 生成模塊為:</b></p><p><b&
91、gt; 仿真波形為</b></p><p> 不加掃描電路和分頻模塊即只有l(wèi)ed燈顯示和剩余時間時仿真波形為:</p><p> 只有l(wèi)ed燈顯示和剩余時間時仿真波形</p><p> 附錄 總體設計電路圖</p><p><b> 心得體會</b></p><p> 經(jīng)過
92、這兩周EDA課程設計以后,我發(fā)現(xiàn)我們在課本里學到的知識是很少的,若想學到很多的知識必須自己去查閱大量的書籍。雖然這次課程設計中我們要用到自己以前熟悉的軟件,但是時間較久,自己必須重新了解和學習軟件的用法。</p><p> 這次課程設計的目的,就是用所學的知識設計一個實用的系統(tǒng),熟悉QUARTUS II軟件使用,提高EDA技術的實際應用能力。它培養(yǎng)學生綜合運用所學知識 ,鍛煉實踐能力的重要環(huán)節(jié),是對學生實際工作
93、能力的具體訓練和考察過程。</p><p> 通過這次課程設計,不僅讓我了解怎么去設計程序,更重要的還讓我學會了怎么樣和別人合作。課程設計雖然很難做,其實最重要的是你去不去做的問題,再難做的事情只要你努力了就會有結果,開始,我沒有查找資料,對軟件也不熟悉,也沒有和同學一起討論。而是一下子就開始去設計,單打獨斗。每一天自己打開軟件弄個半天,然而總是得不出想要的結果,也不知道接下來要干什么。后來,和同學一起討論,找
94、資料。終于我自己的思路也慢慢清晰了。最后經(jīng)過不斷努力,終于完成了任務,也許做得不是很完美,但我覺得經(jīng)過這兩周,我得到了很大的收獲。</p><p><b> 參考文獻</b></p><p> [1] 潘松著.EDA技術與VHDL. 北京:清華大學出版社,2010.</p><p> [2] 潘松著.EDA技術實用教程(第二版). 北京:
95、科學出版社,2005.</p><p> [3]黃任編著. VHDL入門?解惑?經(jīng)典實例?經(jīng)驗總結(第一版).2005年.北京:北京航空航天大學出版社.</p><p> ?。?]鄒彥編著.EDA技術與數(shù)字系統(tǒng)設計. 2007年. 北京:電子工業(yè)出版社.</p><p> ?。?]潘松,黃繼業(yè)編著.EDA技術與VHDL(第二版).2007年. 北京:清華大學出版社
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- eda課程設計--交通燈控制器
- eda課程設計(交通燈控制器)
- eda課程設計---交通燈控制器
- eda課程設計交通燈控制器
- eda課程設計交通燈控制器
- eda課程設計--交通燈控制器設計
- eda課程設計---交通燈控制器的設計
- 交通燈控制器的設計_eda課程設計
- eda技術課程設計交通燈控制器的設計
- eda課程設計報告-- 交通燈控制器
- eda課程設計---交通燈信號控制器
- 畢業(yè)設計-eda技術交通燈控制器(課程設計)
- eda課程設計報告-交通燈控制器1
- 交通燈課程設計--交通燈控制器
- 交通燈課程設計報告---交通燈控制器
- eda交通燈課程設計---交通燈控制系統(tǒng)的設計
- 交通燈控制器課程設計
- 交通燈控制器課程設計
- 課程設計---交通燈控制器
- eda課程設計--交通燈控制
評論
0/150
提交評論